CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - mips CPU

搜索资源列表

  1. fax

    0下载:
  2. 基于GSM,FAX Modem2.0的无线传真,操作系统为linux,cpu 为mips-Based on GSM, FAX Modem2.0 of the Wi-Fi, operating system, linux, cpu for mips
  3. 所属分类:software engineering

    • 发布日期:2017-04-09
    • 文件大小:1245430
    • 提供者:Lianghui Tu
  1. mipsfinal

    0下载:
  2. 用vhdl设计的一个mips小型cpu,不带流水,有r类,i类,j类指令都有~·-Using vhdl design a mips small cpu, with no running water, there are r class, i type, j class instruction have ~*
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:354713
    • 提供者:yusufu
  1. multi_cpu

    1下载:
  2. 多周期CPU,mips指令集,实现了部分指令,包含测试程序,verilog-Multi-cycle CPU
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:5558
    • 提供者:阿月
  1. cpu_1

    0下载:
  2. mips单周期cpu设计,实现MIPS中的11条指令,在设计的cpu中运行快速排序程序进行验证。-mips one cycle cpu design,run quick sort promgram for test.
  3. 所属分类:Other systems

    • 发布日期:2017-11-14
    • 文件大小:50628049
    • 提供者:chenzhenhong
  1. MIPS_CPU

    0下载:
  2. MIPS结构的CPU,采用VHDL编码,附带验证程序,能够跑题hash算法,流水灯,求π程序-MIPS structure of the CPU, using VHDL coding, with the verification process, to get off track and hash algorithms, water lights, find π procedures
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:464147
    • 提供者:maylag
  1. mipsr4000User_Manual

    0下载:
  2. mips4000使用手册,非常经典的一款CPU,是初学mips的好东西-mips4000 manual, very classic a CPU, is a good thing beginners mips
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-05-04
    • 文件大小:1221626
    • 提供者:董军
  1. Windows_CE_OAL

    0下载:
  2. Windows CE微软针对嵌入式领域推出的一款全新的操作系统。之所以说它是一款全新的操作系统,是因为尽管Windows CE的UI非常接近其它的桌面版Windows操作系统,但是它的内核完全是重新写的,并不是任何一款桌面版Windows的精简版本。 Windows CE是一种支持多种CPU架构的操作系统,其中包括ARM、x86、MIPS和SHx,极大地减轻了OEM开发过程中移植操作系统的工作量-The field of Microsoft Windows CE for embedded in
  3. 所属分类:Windows CE

    • 发布日期:2017-04-17
    • 文件大小:72080
    • 提供者:chenl
  1. MIPS32

    0下载:
  2. MIPS32指令集兼容的CPU模拟器设计 健词:MIPs处理器;模拟器;高速缓存;分支预-of CPU Simulator Compatible with MIPS32 Instruction Set A design scheme of a CPu simulator which is compatjble with MIPS32 instruction set is presented.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:293731
    • 提供者:刘全
  1. A-RISC-Design

    0下载:
  2. RISC设计:MIPS指令集控制器核,详细介绍一款32位risc-cpu。-A RISC Design:Synthesis of the MIPS Processor Core
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1130462
    • 提供者:梁梁
  1. MIPS_CPU_OR2000

    0下载:
  2. MIPS架构的开发的CPU软核OR2000 verilog实现,MIPS体系结构cpu设计入门参考-The development of the MIPS architecture CPU soft core OR2000
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:107291
    • 提供者:王垚
  1. Vxworksspeech

    0下载:
  2. VxWorks是一种嵌入式的实时操作系统,所谓嵌入式系统就是用户自己开发设计板子,板子上通常有一颗CPU,VxWorks支持32位的CPU,包括Intel公司的x86、Motorola公司的68k和PowerPC、MIPS、ARM、Intel公司的i960、Hitachi公司的SH-VxWorks is a real-time embedded operating system, the so-called embedded systems development and design is t
  3. 所属分类:VxWorks

    • 发布日期:2017-04-01
    • 文件大小:212599
    • 提供者:firs
  1. lec05_bo_lenh_mips_3374_1132

    0下载:
  2. continue Mips tool ilustrate cpu
  3. 所属分类:software engineering

    • 发布日期:2017-04-17
    • 文件大小:400952
    • 提供者:gau
  1. Project1

    0下载:
  2. Calculate CPI,CPU time and MIPS of a sequence. -Calculate CPI,CPU time and MIPS of a sequence.
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-10
    • 文件大小:821
    • 提供者:TUAN HOANG
  1. singlePcyclePMIPS2

    0下载:
  2. 多周期MIPS实现的CPU设计方案,包括源码-MIPS multi-cycle
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2943748
    • 提供者:xingjianan
  1. MIPS_Pipelined_CPU

    0下载:
  2. MIPS Pipelined CPU written on VHDL with commands, 5 stage pipeline
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:185523
    • 提供者:dor
  1. ALU

    0下载:
  2. 11条指令MIPS指令系统CPU中的ALU设计-11 instruction in the MIPS instruction ALU design in the system CPU
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1429
    • 提供者:Yves Hu
  1. cycle_code

    0下载:
  2. verilog实现了MIPS多周期(5周期)的CPU-verilog MIPS 5 cylce
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:15852
    • 提供者:王博千
  1. yuanma

    0下载:
  2. MIPS指令源代码,用于CPU设计,计算机组成原理课设所需要的源代码下载-MIPS instruction source code for the CPU design, computer composition principle lesson to set the source code download
  3. 所属分类:OS Develop

    • 发布日期:2017-04-02
    • 文件大小:8020
    • 提供者:曲婉婷
  1. mips_single

    0下载:
  2. 這是以verilog所撰寫的MIPS single CPU文件檔。可完成簡單的加減運算。 -This is the verilog are written in MIPS single CPU document file. To be completed by the simple addition and subtraction.
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-01
    • 文件大小:4873
    • 提供者:Brandon
  1. MIPSTIXI

    0下载:
  2. MIPS体系结构详解,讲解CPU的MMU以及Cache等内容,有助于对CPU入门学习-Detailed MIPS architecture, explain the CPU s MMU and Cache content helps to learn the CPU entry
  3. 所属分类:Project Design

    • 发布日期:2017-12-04
    • 文件大小:52759
    • 提供者:李浩苒
« 1 2 3 4 5 6 7 89 10 »
搜珍网 www.dssz.com